Verilog-SystemVerilog中的force和release语法

本文属于转载,版权属于原博主—狮子座硅农(Leo ICer)& 星星在人间,博客原地址 https://blog.csdn.net/qianniuwei321/article/details/123280450https://www.eefocus.com/xiaxing_fpga/blog/11-08/230022_2631f.html

代码块1

module add(a,b,c);
       input   [1:0] a;
       input   [1:0] b;
       output [2:0] c;

       assign c = a  + b;
endmodule

module test();
       reg  [1:0] a1,b1;
       wire [2:0] c1;
       add u_add(.a(a1),.b(b1),.c(c1));
       initial   begin
                  a1 = 2'b1;//a=1
                  b1 = 2'b1;
         #20  force u_add.a = 2'd2;//a=2
         #10  release u_add.a ;//a=1
       end
endmodule

说明

在u_add模块中,a接口与a1相连,b接口与b1相连,c接口与c1相连,有如下两种情况:

(1)在没有force下,即release环境下,u_add模块的a接口输入的就是a1信号,a1信号为高a则为高,b、c接口同理;

(2)在force下,相当于系统做了一个强制赋值,此时u_add模块的a接口为2`d2。

代码块2

initial
begin
#1 force a = 1;   //a =1
#2 release a;  
#1 assign a = 2;  //a =2
#1 force a = 3; // a =3
#2 release a;   //a = 2 ,因为之前assign a = 2,相当于将2硬链接到a上,release的时候,硬链接开始有效。
end

总结

force的作用相当于给模块的接口强制赋值了一个值;release的作用相当于把force这个强制条件给解除了。

原文地址:http://www.cnblogs.com/ckrfight/p/16875319.html

1. 本站所有资源来源于用户上传和网络,如有侵权请邮件联系站长! 2. 分享目的仅供大家学习和交流,请务用于商业用途! 3. 如果你也有好源码或者教程,可以到用户中心发布,分享有积分奖励和额外收入! 4. 本站提供的源码、模板、插件等等其他资源,都不包含技术服务请大家谅解! 5. 如有链接无法下载、失效或广告,请联系管理员处理! 6. 本站资源售价只是赞助,收取费用仅维持本站的日常运营所需! 7. 如遇到加密压缩包,默认解压密码为"gltf",如遇到无法解压的请联系管理员! 8. 因为资源和程序源码均为可复制品,所以不支持任何理由的退款兑现,请斟酌后支付下载 声明:如果标题没有注明"已测试"或者"测试可用"等字样的资源源码均未经过站长测试.特别注意没有标注的源码不保证任何可用性