官方文档:https://max.book118.com/html/2016/0303/36779383.shtm
书籍截图:
image
image
image
image

set_clock_groups

  • asynchronous
  • logically_exclusive
  • physically_exclusive
Asynchronous Clocks

多个时钟之间相位关系不确定,就可以将这两个(或多个)时钟,定义为asynchronous,一般而言当时钟来自于不同的PLL或者晶振时,时钟之间的相位是不固定的。
image
set_clock_groups -asynchronous -group {ClkA} -group {ClkB}

Logically Exclusive Clocks

如果两个时钟同时存在但是他们之间没有任何 path,则这两个时钟可以设置为 logically exclusive,典型的情况就是一个 MUX 选择两个或多个时钟。
image
set_clock_groups -logically_exclusive -group [get_clocks Clk1] -group [get_clocks Clk2]

Physically Exclusive Clocks

当两个时钟在同一时刻不可能同时存在时,则这两个时钟就可以设置为 physical exclusive。比如在相同的 primary 端口上创建多个时钟,但是这两个时钟工作在不同的工作模式,比如TestClk 和 Function Clock。SI 相互作用不会发生在这两个时钟之间。

set_clock_groups -physically_exclusive -group [get_clocks TestClk] -group [get_clocks SysClk]

原文地址:http://www.cnblogs.com/powerforme/p/16875886.html

1. 本站所有资源来源于用户上传和网络,如有侵权请邮件联系站长! 2. 分享目的仅供大家学习和交流,请务用于商业用途! 3. 如果你也有好源码或者教程,可以到用户中心发布,分享有积分奖励和额外收入! 4. 本站提供的源码、模板、插件等等其他资源,都不包含技术服务请大家谅解! 5. 如有链接无法下载、失效或广告,请联系管理员处理! 6. 本站资源售价只是赞助,收取费用仅维持本站的日常运营所需! 7. 如遇到加密压缩包,默认解压密码为"gltf",如遇到无法解压的请联系管理员! 8. 因为资源和程序源码均为可复制品,所以不支持任何理由的退款兑现,请斟酌后支付下载 声明:如果标题没有注明"已测试"或者"测试可用"等字样的资源源码均未经过站长测试.特别注意没有标注的源码不保证任何可用性