1、有限状态机的分类:

  • Moore型:输出仅与电路的状态有关;
  • Mealy型:输出与当前电路状态和当前电路输入有关。

2、有限状态机的描述方法:

  • 状态转换图:节点:状态(Moore输出);
          边:由一个状态转换为另一个状态的对应输入(Mealy)

  • 算法状态机:类似于流程图。

3、设计步骤:

  • S1、定类型;
  • S2、列状态+编码;
  • S3、画状态转换图;
  • S4、代码语言描述

4、状态转移图检查:

  • 完备性;
  • 互斥性。

设计案例:序列检测器

功能描述:设计一个“1101”的序列检测器,设\(d_{in}\)为数子码流输入,\(s_{out}\)为检出标记输出,高电平表示发现指定序列,低电平表示没有发现指令序列

A.Moore型
  • 状态+编码:
      S0:未检测到‘1’
      S1:检测到输入序列‘1’
      S2:检测到输入序列‘11’
      S3:检测到输入序列‘110’
      S4:检测到输入序列‘1101’
      共计5个状态,需要声明位宽为3的状态寄存器*2.

  • 状态转移图:



  • 代码语言描述:
module seqdet
	#(parameter s0=3'b000,
	parameter s1=3'b001,
	parameter s2=3'b010,
	parameter s3=3'b011,
	parameter s4=3'b100)
	(input clk, reset, din,
	output reg sout);
	reg [2:0] cur_state, next_state;

	always@(posedge clk)	begin
		if(reset)	cur_state <= s0;
		else		cur_state <= next_state;
	end

	always@(din, cur_state)	begin
		case(cur_state)
			s0:	begin
				if(din==1'b1)	next_state=s1;
				else		next_state=s0;
				end
			s1:	begin
				if(din==1'b1)	next_state=s2;
				else		next_state=s0;
				end
			s2:	begin
				if(din==1'b1)	next_state=s2;
				else		next_state=s3;
				end
			s3:	begin
				if(din==1'b1)	next_state=s4;
				else		next_state=s0;
				end
			s4:	begin
				if(din==1'b1)	next_state=s2;
				else		next_state=s0;
				end
		endcase
	end

	always@(cur_state)	begin
		if(reset)		sout=1'b0;
		else if(cur_state==s4)	sout=1'b1;
		else			sout=1'b0;
	end

endmodule
B.Mealy型
  • 状态+编码:
      由于Mealy型在边上即可进行输入,故无需S4状态:
      S0:未检测到‘1’
      S1:检测到输入序列‘1’
      S2:检测到输入序列‘11’
      S3:检测到输入序列‘110’
      共计4个状态,需要声明位宽为2的状态寄存器*2.

  • 状态转移图:



  • 代码语言描述:
module seqdet
	#(parameter s0=2'b00,
	parameter s1=2'b01,
	parameter s2=2'b10,
	parameter s3=2'b11)
	(input clk, reset, din,
	output reg sout);
	reg [2:0] cur_state, next_state;

	always@(posedge clk)	begin
		if(reset)	cur_state <= s0;
		else		cur_state <= next_state;
	end

	always@(cur_state, din)	begin
		case(cur_state)
			s0:	begin
				if(din==1'b1)	next_state=s1;
				else		next_state=s0;
				end
			s1:	begin
				if(din==1'b1)	next_state=s2;
				else		next_state=s0;
				end
			s2:	begin
				if(din==1'b1)	next_state=s2;
				else		next_state=s3;
				end
			s3:	begin
				if(din==1'b1)	next_state=s1;
				else		next_state=s0;
				end
		endcase
	end

	always@(posedge clk)	begin
		if(reset)			sout=1'b0;
		else if(cur_state==s3 && din)	sout=1'b1;
		else				sout=1'b0;
	end

endmodule

原文地址:http://www.cnblogs.com/Qzzz/p/16801173.html

1. 本站所有资源来源于用户上传和网络,如有侵权请邮件联系站长! 2. 分享目的仅供大家学习和交流,请务用于商业用途! 3. 如果你也有好源码或者教程,可以到用户中心发布,分享有积分奖励和额外收入! 4. 本站提供的源码、模板、插件等等其他资源,都不包含技术服务请大家谅解! 5. 如有链接无法下载、失效或广告,请联系管理员处理! 6. 本站资源售价只是赞助,收取费用仅维持本站的日常运营所需! 7. 如遇到加密压缩包,默认解压密码为"gltf",如遇到无法解压的请联系管理员! 8. 因为资源和程序源码均为可复制品,所以不支持任何理由的退款兑现,请斟酌后支付下载 声明:如果标题没有注明"已测试"或者"测试可用"等字样的资源源码均未经过站长测试.特别注意没有标注的源码不保证任何可用性